Feature

●Working principle: with the IO port TRIG trigger measuring distance, a signal with high level is output for at least 10 µS. The module automatically sends eight 40 kHz rectangular signals and automatically detects if there is a return signal. If a return signal is present, via the E/O port of the high level Echo output, the duration of the high level is the time of the ultrasonic wave from transmission to return.
●Instructions for use: the ultrasonic timing chart shows that you only need to provide a pulse trigger signal of more than 10 µS. The module contained in it sends eight 40 kHz cycles and detects the return wave. Output echo signal as soon as the return signal is detected. The pulse width of the echo signal is proportional to the measured distance. Therefore, calculate the distance with the distance between the transmission signal and the received echo signal.
●Stable performance: this distance sensor small size, convenient to use, it can measure distance accurately, low voltage, low power consumption, stable performance
●Application: this ultrasonic sensor has high measurement accuracy, strong anti-interference ability, integrated with a wire-encapsulated waterproof sensor, suitable for wet, rough measuring applications
●【Applications】Widely used for horizontal distance measurement, obstacle avoidance, automatic control, safety, industrial control, etc.


Description

Product features:
- Operating voltage: DC 5V
- Sleep working current: 5 mA.
- Total current: 30 mA.
- Wave output frequency: 40 kHz.
- Measuring resistance max. 5m
- Blind area: 25cm
- Size: 28.5 x 41mm
- Resolution: approx. 0.5cm
- Angle: <50 degrees
- Operating Temperature: -10℃~70℃
- Storage Temperature: -20℃~80℃
- Wiring
+ 5V (positive power supply)
Trig (control) RX
(Reception) TX.
GND (negative power supply)
- Basic working principle:
(1) Using the measurement distance of the TRIG trigger trigger of the IO port, high level signal for at least 10uS.
(2) The module automatically sends eight 40 kHz square waves, automatically detects when there is a return signal.
(3) If there is a return signal via the IO port, a high level is triggered. The duration of the high level is the time of the ultrasonic wave to send back. Test distance = (time at high level * sound speed (340 m/s) / 2;
The ultrasonic synchronization diagram shows that you only need to provide a pulse trigger signal of more than 10 uS, the module inside sends eight cycle levels of 40 kHz and detects the return wave. The output echo signal detects once the return signal has been returned. The pulse width of the echo signal is proportional to the measured distance. Therefore, calculate the distance with the interval between the transmission signal and the reception echo signal.

Package includes:
1 × Distance Sensor Module